Thoughts after implementing APB library using VHDL mode view


Do not blindly treat POSIX as something worth mimicking


VHDL poor naming conventions